您的位置:

vhdl和c语言的区别,vhdl语言共支持四种常用库

本文目录一览:

计算机硬件描述语言(VHDL)与编程语言(C语言)的区别及关系,

首先说VHDL:它是描述电路的计算机工具,早期的CPLD等器件是基于与-或阵列的,更容易说明这点,VHDL是描述电路行为的,当下载到器件后,它就是具体的电路,这个电路全由与-或阵列组成。后期的FPGA也一样,只是它是基于查找表的。再说C语言:我们可以认为它是用于控制特定电路的工作。我们都知道可以C编程的控制器都有程序存储器,它里面就存放了C编译后的二进制代码。而VHDL里下载后根本就不需要这个存放程序的地方。

vhdl语言与单片机c语言的区别

c语言是软件描述语言,vhdl是硬件描述语言

应用的地方不一样,c可以用在嵌入式系统中,而vhdl一般用在fpga中

所以运行模式完全不一样,嵌入式系统中流程是取指令-译码-执行,

而vhdl在fpga是多发并行执行的,所有的门电路可以同时翻转

VHDL语言与c语言有什么区别?

本人刚刚学过VHDL语言,也会C语言。

两个语言没有什么联系。

前者是面向硬件的,有点类似单片机。

一个是面向软件的,是计算机编程。

语法结构没什么大的相似。

都是编程语言。

如果你要制造一个定时炸弹的定时系统,VHDL适合。

如果你要写一些病毒或者其他的软件,c就比较合适。。

C语言和VHDL语言的区别

区别大了

一个是软件描述语言,一个硬件描述语言

应用的地方不一样,c可以用在嵌入式系统中,而vhdl一般用在fpga中

所以运行模式完全不一样,嵌入式系统中流程是取指令-译码-执行,

而vhdl在fpga是多发并行执行的,所有的门电路可以同时翻转

没什么可比性。。